Close

Presentation

This content is available for: Tech Program Reg Pass. Upgrade Registration
Phases, Modalities, Spatial and Temporal Locality: Domain Specific ML Prefetcher for Accelerating Graph Analytics
DescriptionMemory performance is a bottleneck in graph analytics acceleration. Existing Machine Learning (ML) prefetchers struggle with phase transitions and irregular memory accesses in graph processing. We propose MPGraph, an ML-based Prefetcher for Graph analytics using domain specific models. MPGraph introduces three novel optimizations: soft detection for phase transitions, phase-specific multi-modality models for access delta and page predictions, and chain spatio-temporal prefetching (CSTP) for prefetch control.

Our transition detector achieves 34.17–82.15% higher precision compared with Kolmogorov–Smirnov Windowing and decision tree. Our predictors achieve 6.80–16.02% higher F1-score for delta and 11.68–15.41% higher accuracy-at-10 for page prediction compared with LSTM and vanilla attention models. Using CSTP, MPGraph achieves 12.52–21.23% IPC improvement, outperforming state-of-the-art non-ML prefetcher BO by 7.58–12.03% and ML-based prefetchers Voyager and TransFetch by 3.27–4.58%. For practical implementation, we demonstrate MPGraph using compressed models with reduced latency shows significantly superior accuracy and coverage compared with BO, leading to 3.58% higher IPC improvement.
Event Type
Paper
TimeThursday, 16 November 20233:30pm - 4pm MST
Location403-404
Tags
Architecture and Networks
Data Movement and Memory
Graph Algorithms and Frameworks
Performance Measurement, Modeling, and Tools
Programming Frameworks and System Software
Registration Categories
TP
Reproducibility Badges